Skip to content

Commit

Permalink
Task 5 (Hazard Detection & Forwarding) added
Browse files Browse the repository at this point in the history
  • Loading branch information
tojauch committed Sep 10, 2024
1 parent b8dd236 commit 6ea02d6
Show file tree
Hide file tree
Showing 7 changed files with 701 additions and 0 deletions.
19 changes: 19 additions & 0 deletions 05_pipelined_RISC-V_core_hazardDetection/build.sbt
Original file line number Diff line number Diff line change
@@ -0,0 +1,19 @@
scalaVersion := "2.12.13"

scalacOptions ++= Seq(
"-deprecation",
"-feature",
"-unchecked",
"-Xfatal-warnings",
"-language:reflectiveCalls",
)

resolvers ++= Seq(
Resolver.sonatypeRepo("snapshots"),
Resolver.sonatypeRepo("releases")
)

// Chisel 3.5
addCompilerPlugin("edu.berkeley.cs" % "chisel3-plugin" % "3.5.0" cross CrossVersion.full)
libraryDependencies += "edu.berkeley.cs" %% "chisel3" % "3.5.0"
libraryDependencies += "edu.berkeley.cs" %% "chiseltest" % "0.5.0"
Original file line number Diff line number Diff line change
@@ -0,0 +1,25 @@
// ADS I Class Project
// Pipelined RISC-V Core with Hazard Detetcion and Resolution
//
// Chair of Electronic Design Automation, RPTU in Kaiserslautern
// File created on 05/21/2024 by Andro Mazmishvili (@Andrew8846)

package HazardDetectionRV32I

import chisel3._
import chisel3.util._

import core_tile._

class HazardDetectionRV32I (BinaryFile: String) extends Module {

val io = IO(new Bundle {
val result = Output(UInt(32.W))
})

val core = Module(new HazardDetectionRV32Icore(BinaryFile))

io.result := core.io.check_res

}

Original file line number Diff line number Diff line change
@@ -0,0 +1,18 @@
// ADS I Class Project
// Pipelined RISC-V Core with Hazard Detetcion and Resolution
//
// Chair of Electronic Design Automation, RPTU in Kaiserslautern
// File created on 05/21/2024 by Andro Mazmishvili (@Andrew8846)

package makeverilog

import chisel3._
import chiseltest._
import org.scalatest.flatspec.AnyFlatSpec

import HazardDetectionRV32I._


object Verilog_Gen extends App {
emitVerilog(new HazardDetectionRV32I("src/test/programs/BinaryFile"), Array("--target-dir", "generated-src")
)}
Loading

0 comments on commit 6ea02d6

Please sign in to comment.